Home
last modified time | relevance | path

Searched refs:region (Results 1 – 25 of 92) sorted by relevance

1234

/device/google/cuttlefish/guest/hals/gralloc/legacy/
Dregion_registry.cpp105 GrallocRegion* region = reinterpret_cast<GrallocRegion*>( in lock_region_for_handle() local
107 if (!region) { in lock_region_for_handle()
108 region = new GrallocRegion; in lock_region_for_handle()
109 hashmapPut(hash, strdup(region_name), region); in lock_region_for_handle()
111 return region; in lock_region_for_handle()
188 GrallocRegion* region = lock_region_for_handle(hnd, name_buf); in reference_region() local
189 if (!region->base_) { in reference_region()
194 unlock_region(region); in reference_region()
204 region->base_ = mappedAddress; in reference_region()
207 name_buf, hnd, hnd->fd, region->base_, in reference_region()
[all …]
/device/linaro/hikey/gralloc960/
Dgralloc_buffer_priv.h143 attr_region *region = (attr_region *)hnd->attr_base; in gralloc_buffer_attr_write() local
148 memcpy(&region->crop_top, val, sizeof(int) * 4); in gralloc_buffer_attr_write()
153 region->use_yuv_transform = *val; in gralloc_buffer_attr_write()
158 region->use_sparse_alloc = *val; in gralloc_buffer_attr_write()
163 memcpy(&region->hdr_info, val, sizeof(mali_hdr_info)); in gralloc_buffer_attr_write()
184 attr_region *region = (attr_region *)hnd->attr_base; in gralloc_buffer_attr_read() local
189 memcpy(val, &region->crop_top, sizeof(int) * 4); in gralloc_buffer_attr_read()
194 *val = region->use_yuv_transform; in gralloc_buffer_attr_read()
199 *val = region->use_sparse_alloc; in gralloc_buffer_attr_read()
204 memcpy(val, &region->hdr_info, sizeof(mali_hdr_info)); in gralloc_buffer_attr_read()
Dgralloc_buffer_priv.cpp84 attr_region *region = (attr_region *)hnd->attr_base; in gralloc_buffer_attr_allocate() local
/device/linaro/bootloader/arm-trusted-firmware/plat/arm/css/drivers/sds/
Dsds_private.h88 #define IS_SDS_REGION_VALID(region) \ argument
89 (((((region_desc_t *)(region))->reg[0]) & SDS_REGION_SIGNATURE_MASK) == SDS_REGION_SIGNATURE)
90 #define GET_SDS_REGION_STRUCTURE_COUNT(region) \ argument
91 (((((region_desc_t *)(region))->reg[0]) >> SDS_REGION_STRUCT_COUNT_SHIFT)\
93 #define GET_SDS_REGION_SCHEMA_VERSION(region) \ argument
94 (((((region_desc_t *)(region))->reg[0]) >> SDS_REGION_SCH_MINOR_SHIFT)\
96 #define GET_SDS_REGION_SIZE(region) ((((region_desc_t *)(region))->reg[1])) argument
/device/amlogic/yukawa/gralloc/
Dgralloc_buffer_priv.h142 attr_region *region = (attr_region *)hnd->attr_base; in gralloc_buffer_attr_write() local
147 memcpy(&region->crop_top, val, sizeof(int) * 4); in gralloc_buffer_attr_write()
152 region->use_yuv_transform = *val; in gralloc_buffer_attr_write()
157 region->use_sparse_alloc = *val; in gralloc_buffer_attr_write()
178 attr_region *region = (attr_region *)hnd->attr_base; in gralloc_buffer_attr_read() local
183 memcpy(val, &region->crop_top, sizeof(int) * 4); in gralloc_buffer_attr_read()
188 *val = region->use_yuv_transform; in gralloc_buffer_attr_read()
193 *val = region->use_sparse_alloc; in gralloc_buffer_attr_read()
Dgralloc_buffer_priv.cpp84 attr_region *region = (attr_region *)hnd->attr_base; in gralloc_buffer_attr_allocate() local
/device/google/cuttlefish/host/libs/wayland/
Dwayland_surface.cpp24 void Surface::SetRegion(const Region& region) { in SetRegion() argument
26 state_.region = region; in SetRegion()
49 CHECK(buffer_w == state_.region.w); in Commit()
51 CHECK(buffer_h == state_.region.h); in Commit()
Dwayland_compositor.cpp49 Surface::Region* region = GetUserData<Surface::Region>(region_resource); in region_add() local
50 region->x = x; in region_add()
51 region->y = y; in region_add()
52 region->w = w; in region_add()
53 region->h = h; in region_add()
121 Surface::Region* region = GetUserData<Surface::Region>(region_resource); in surface_set_opaque_region() local
123 surface->SetRegion(*region); in surface_set_opaque_region()
209 std::unique_ptr<Surface::Region> region(new Surface::Region()); in compositor_create_region() local
215 region.release(), in compositor_create_region()
Dwayland_surface.h48 void SetRegion(const Region& region);
76 Region region; member
/device/linaro/bootloader/edk2/ArmPlatformPkg/Scripts/Ds5/
Dedk2_debugger.py117 for region in regions:
118 if region[0] == ArmPlatformDebugger.REGION_TYPE_SYSMEM:
119 sysmem_base = region[1]
120 sysmem_size = region[2]
121 elif region[0] == ArmPlatformDebugger.REGION_TYPE_FV:
122 fvs.append((region[1],region[2]))
123 elif region[0] == ArmPlatformDebugger.REGION_TYPE_ROM:
124 for base in xrange(region[1], region[1] + region[2], 0x400000):
129 print "Region type '%d' Not Supported" % region[0]
/device/linaro/bootloader/arm-trusted-firmware/include/drivers/arm/
Dtzc400.h117 int region,
135 int region,
158 int region, in tzc_configure_region() argument
164 tzc400_configure_region(filters, region, region_base, in tzc_configure_region()
/device/linaro/bootloader/arm-trusted-firmware/drivers/arm/tzc/
Dtzc400.c169 int region, in tzc400_configure_region() argument
179 (region >= 0) && (region < tzc400.num_regions)); in tzc400_configure_region()
193 _tzc400_configure_region(tzc400.base, filters, region, region_base, in tzc400_configure_region()
Dtzc_dmc500.c29 #define verify_region_attr(region, attr) \ argument
30 ((g_conf_regions[(region)].sec_attr == \
/device/linaro/bootloader/edk2/MdeModulePkg/Universal/RegularExpressionDxe/Oniguruma/
Dregexec.c155 onig_get_capture_tree(OnigRegion* region) in onig_get_capture_tree() argument
157 return region->history_root; in onig_get_capture_tree()
162 onig_region_clear(OnigRegion* region) in onig_region_clear() argument
166 for (i = 0; i < region->num_regs; i++) { in onig_region_clear()
167 region->beg[i] = region->end[i] = ONIG_REGION_NOTPOS; in onig_region_clear()
170 history_root_free(region); in onig_region_clear()
175 onig_region_resize(OnigRegion* region, int n) in onig_region_resize() argument
177 region->num_regs = n; in onig_region_resize()
182 if (region->allocated == 0) { in onig_region_resize()
183 region->beg = (int* )xmalloc(n * sizeof(int)); in onig_region_resize()
[all …]
Dregtrav.c67 onig_capture_tree_traverse(OnigRegion* region, int at, in onig_capture_tree_traverse() argument
71 return capture_tree_traverse(region->history_root, at, in onig_capture_tree_traverse()
Doniguruma.h743 …gUChar* end, const OnigUChar* start, const OnigUChar* range, OnigRegion* region, OnigOptionType op…
745 …t OnigUChar* str, const OnigUChar* end, const OnigUChar* at, OnigRegion* region, OnigOptionType op…
749 void onig_region_init P_((OnigRegion* region));
751 void onig_region_free P_((OnigRegion* region, int free_self));
755 void onig_region_clear P_((OnigRegion* region));
757 int onig_region_resize P_((OnigRegion* region, int n));
759 int onig_region_set P_((OnigRegion* region, int at, int beg, int end));
763 …f_number P_((OnigRegex reg, const OnigUChar* name, const OnigUChar* name_end, OnigRegion *region));
773 OnigCaptureTreeNode* onig_get_capture_tree P_((OnigRegion* region));
775 int onig_capture_tree_traverse P_((OnigRegion* region, int at, int(*callback_func)(int,int,int,int,…
/device/linaro/bootloader/arm-trusted-firmware/docs/
Dxlat-tables-lib-v2-design.rst63 An ``mmap_region`` is an abstract, concise way to represent a memory region to
78 The region attributes specify the type of memory (for example device or cached
81 the EL1&0 translation regime, the attributes also specify whether the region is
82 a User region (EL0) or Privileged region (EL1). See the ``mmap_attr_t``
87 the region. For example, assuming the MMU has been configured to use a 4KB
88 granule size, the library might map a 2MB memory region using either of the two
96 potentially less memory. However, if part of this 2MB region is later remapped
104 then they might enforce a 4KB mapping granularity for this 2MB region from the
108 The region's granularity is an optional field; if it is not specified the
109 library will choose the mapping granularity for this region as it sees fit (more
[all …]
/device/linaro/bootloader/arm-trusted-firmware/drivers/io/
Dio_block.c127 io_block_spec_t *region; in block_open() local
133 region = (io_block_spec_t *)spec; in block_open()
135 assert(((region->offset % cur->dev_spec->block_size) == 0) && in block_open()
136 ((region->length % cur->dev_spec->block_size) == 0)); in block_open()
138 cur->base = region->offset; in block_open()
139 cur->size = region->length; in block_open()
/device/linaro/bootloader/edk2/IntelFsp2Pkg/FspSecCore/Ia32/
DFspApiEntryT.nasm162 ; Beginning of microcode update region starts on paragraph boundary
290 ; Address >= microcode region address + microcode region size?
293 jae Done ;Jif address is outside of microcode region
356 push DATA_LEN_OF_MCUD ; Size of the data region
357 push 4455434Dh ; Signature of the data region 'MCUD'
366 push DATA_LEN_OF_PER0 ; Size of the data region
367 push 30524550h ; Signature of the data region 'PER0'
403 ; region specified by the boot loader and also setup a temporary stack to be
/device/linaro/bootloader/edk2/IntelFspPkg/FspSecCore/Ia32/
DFspApiEntry.asm112 ; Beginning of microcode update region starts on paragraph boundary
233 ; Address >= microcode region address + microcode region size?
236 jae done ;Jif address is outside of microcode region
299 push DATA_LEN_OF_MCUD ; Size of the data region
300 push 4455434Dh ; Signature of the data region 'MCUD'
309 push DATA_LEN_OF_PER0 ; Size of the data region
310 push 30524550h ; Signature of the data region 'PER0'
343 ; region specified by the boot loader and also setup a temporary stack to be
DFspApiEntry.s264 # Beginning of microcode update region starts on paragraph boundary
414 # Address >= microcode region address + microcode region size?
419 jae Done #Jif address is outside of microcode region
492 pushl $DATA_LEN_OF_MCUD # Size of the data region
493 pushl $0x4455434D # Signature of the data region 'MCUD'
502 pushl $DATA_LEN_OF_PER0 # Size of the data region
503 pushl $0x30524550 # Signature of the data region 'PER0'
534 # region specified by the boot loader and also setup a temporary stack to be
/device/linaro/bootloader/arm-trusted-firmware/docs/plat/
Dxilinx-zynqmp.rst29 - ``ZYNQMP_ATF_MEM_SIZE``: Specifies the size of the memory region of the bl31 binary.
31 - ``ZYNQMP_BL32_MEM_SIZE``: Specifies the size of the memory region of the bl32 binary.
/device/linaro/bootloader/edk2/MdeModulePkg/Universal/LegacyRegion2Dxe/
DLegacyRegion2Dxe.uni11 // Protocol. For Lock/UnLock/Decode, EFI_SUCCESS is returned although the region's
29 …2 Protocol. For Lock/UnLock/Decode, EFI_SUCCESS is returned although the region's attributes were …
/device/linaro/bootloader/OpenPlatformPkg/Documentation/Marvell/PortingGuide/
DPciEmulation.txt23 count, base addresses, register region size and DMA-coherency type.
/device/linaro/bootloader/edk2/SecurityPkg/Tcg/TrEESmm/
DTpm.asl47 // Operational region for Smi port access
56 // Operational region for TPM access
65 // Operational region for TPM support, TPM Physical Presence and TPM Memory Clear

1234